Advancements in ASML's High-NA and Hyper-NA EUV Technology

Find AI Tools
No difficulty
No complicated process
Find ai tools

Advancements in ASML's High-NA and Hyper-NA EUV Technology

Table of Contents

  1. Why Higher NA?
  2. Photomasks
  3. Bigger Mirrors
  4. Optics Focus Point 1
  5. Optics Focus Point 2
  6. Depth of Focus
  7. Light Source
  8. Resists
  9. Hyper-NA
  10. Hyper-NA Engineering Challenges
  11. Conclusion

Why Higher NA?

The Numerical Aperture (NA) in lithography refers to the amount of light that an optics system can Collect and focus. Currently, most EUV systems have an NA of 0.33, which limits the half pitch line to 13 nanometers. However, with the increasing demand for smaller and more advanced process nodes, raising the NA to 0.55 could shrink the lithography machines' half pitch lines to 7.5 or 8 nanometers. This improvement in NA is necessary to meet the requirements of future process nodes such as N2 or N1.8. The High-NA lithography is scheduled to enter the supply chain in 2025.

Photomasks

To implement High-NA EUV lithography, Consensus is required among critical suppliers, particularly those responsible for the production of photomasks, mirror Glass, and inspection tools. One possible Scenario discussed in meetings held by SEMATECH, imec, and others in 2013 was to increase the size of the EUV mask from 6 inches to 7 inches while setting the NA at 0.5. This option would increase throughput by enabling the printing of about 70% more pattern features per hour. However, the chipmakers, such as Intel and TSMC, were not willing to pursue larger mask sizes without an agreement from the manufacturers. This decision had significant consequences for ASML's machine design.

Bigger Mirrors

Higher NA requires larger mirrors in the EUV system. The size of the mirrors is proportional to the NA. For example, Zeiss reports that the final mirror for the 0.33 NA system is 0.65 meters wide and weighs 40 kilograms. In contrast, the final mirror for High-NA, with an NA of 0.55, is almost twice as large, measuring 1.2 meters wide and weighing 360 kilograms. These bigger mirrors pose engineering challenges in terms of handling, metrology, and the design of vacuum vessels. To address this, Zeiss and ASML entered into a $1.9 billion deal in 2016 to fund the development of larger mirrors.

Optics Focus Point 1

The implementation of High-NA EUV lithography introduces complications in the optics system, particularly in the mask area. Since the higher NA results in wider light cones interfering with each other, the mask requires special considerations. The declining reflectivity with rising angles and the use of lines of absorbers for chip design Patterns make it challenging to increase the reflection angle. To resolve this issue, anamorphic lenses are used to magnify only one axis of the mask, allowing for a larger field size. However, this adjustment reduces the field size of High-NA EUV systems to about half the size of low-NA EUV systems. As a result, High-NA lithography will initially be used for chip layers with exceptionally high complexity.

Optics Focus Point 2

The last two mirrors preceding the wafer in the EUV system also Present engineering challenges in High-NA EUV lithography. The wide mirror at the end causes the light coming from the Second to last mirror to hit it at wider angles, impacting productivity. To mitigate this issue, a hole or obscuration is drilled into the last mirror to lower the angles and improve contrast. This technique is commonly used in telescopes to avoid stray light and enhance contrast.

Depth of Focus

One of the significant consequences of High-NA EUV lithography is the reduction of the chip design's depth of focus. Depth of focus refers to the range at which the image quality remains acceptable. With the higher NA, the depth of focus becomes significantly thinner. According to the second Rayleigh equation, the depth of focus is inversely proportional to the NA squared. For example, with an NA of 0.55, the depth of range is 45 nanometers, which is over 60% thinner than for the 0.33 NA tools. Refraction effects from the resist layer and the vacuum above it can further impact the depth of focus. These limitations require wafers to be incredibly flat and impose strict requirements on the semiconductor processing chain.

Light Source

The light source used in EUV lithography is a critical component of the system and has undergone modifications for High-NA lithography. ASML is working on new, more powerful light sources that increase the frequency of tin droplets from 50,000 to 60,000 per second. Additionally, the old 10-micron laser used for the first of the two laser blasts will be swapped out with a 1-micron laser. These modifications are expected to Scale the power output from the current 300 watts to potentially as high as 800 watts in the future. However, the increased power consumption of High-NA EUV systems poses a significant challenge, as they already Consume as much electricity as three Walmart Supercenters.

Resists

Resists play a crucial role in EUV lithography as they determine the pattern transfer onto the wafer. EUV resists behave differently from deep ultraviolet (DUV) resists due to the higher energy of EUV photons. When EUV photons hit a resist molecule, the excess energy causes the molecule to eject an electron, leading to chain reactions. However, this effect creates a blurring effect and increases the complexity of calculating and minimizing resist-related distortions. Moreover, the small size of features being printed and stochastic effects cause random photons to introduce errors. Finding resists that meet all the requirements for High-NA EUV lithography has proven to be a costly and time-consuming endeavor.

Hyper-NA

While High-NA EUV lithography is set to enter the supply chain in 2025, researchers and industry experts are already contemplating the next step: Hyper-NA EUV lithography. Hyper-NA involves raising the NA beyond 0.55 to 0.75 or even higher. This conceptual advancement poses significant challenges and uncertainties. The cost of Hyper-NA machines could exceed half a billion dollars, making them economically unfeasible. With each High-NA machine already costing around $300-350 million, the question arises: Is there enough market demand to justify the investment?

Hyper-NA Engineering Challenges

Implementing Hyper-NA EUV lithography presents substantial engineering challenges. Larger mirrors are required, which necessitates the development of even larger robots for mirror handling and vacuum chambers for mirror metrology. As with High-NA, resist-related issues, such as depth of focus decline, become even more pronounced with Hyper-NA. Reflection angles also pose challenges, as increasing NA leads to wider light cones that result in unacceptable reflectivity values. Modifying the thickness of mirror multi-layers may be necessary to accommodate these wider angles.

Conclusion

Although High-NA EUV lithography presents promising advancements in semiconductor lithography, it also brings significant challenges. The performance of High-NA systems relies on solutions to issues related to resists, polarization, and other critical parts of the system. Moreover, the economic feasibility of Hyper-NA EUV lithography remains uncertain due to significant costs and market demand. However, continuous advancements in resists, mask sizes, and light sources provide alternative paths to achieving more powerful and effective lithography without the need to raise the NA to Hyper-NA levels. The semiconductor industry continues to explore possibilities and push the boundaries of EUV technology.

Are you spending too much time looking for ai tools?
App rating
4.9
AI Tools
100k+
Trusted Users
5000+
WHY YOU SHOULD CHOOSE TOOLIFY

TOOLIFY is the best ai tool source.

Browse More Content